Ecosyste.ms: Awesome

An open API service indexing awesome lists of open source software.

Awesome Lists | Featured Topics | Projects

Projects in Awesome Lists by Xilinx

A curated list of projects in awesome lists by Xilinx .

https://github.com/Xilinx/PYNQ

Python Productivity for ZYNQ

pynq

Last synced: 03 Aug 2024

https://github.com/Xilinx/Vitis-AI

Vitis AI is Xilinx’s development stack for AI inference on Xilinx hardware platforms, including both edge devices and Alveo cards.

Last synced: 31 Jul 2024

https://github.com/Xilinx/linux-xlnx

The official Linux kernel from Xilinx

Last synced: 02 Aug 2024

https://github.com/Xilinx/brevitas

Brevitas: neural network quantization in PyTorch

brevitas deep-learning fpga hardware-acceleration neural-networks ptq pytorch qat quantization xilinx

Last synced: 02 Aug 2024

https://github.com/Xilinx/finn

Dataflow compiler for QNN inference on FPGAs

compiler dataflow fpga neural-network quantization

Last synced: 31 Jul 2024

https://github.com/Xilinx/BNN-PYNQ

Quantized Neural Networks (QNNs) on PYNQ

Last synced: 31 Jul 2024

https://github.com/Xilinx/u-boot-xlnx

The official Xilinx u-boot repository

Last synced: 02 Aug 2024

https://github.com/Xilinx/XRT

Run Time for AIE and FPGA based platforms

fpga linux-kernel vitis xrt

Last synced: 02 Aug 2024

https://github.com/Xilinx/HLS

Vitis HLS LLVM source code and examples

Last synced: 30 Jul 2024

https://github.com/Xilinx/XilinxTclStore

Xilinx Tcl Store

Last synced: 02 Aug 2024

https://github.com/Xilinx/RapidWright

Build Customized FPGA Implementations for Vivado

fpga rapidwright vivado xilinx

Last synced: 02 Aug 2024

https://github.com/Xilinx/qemu

Xilinx's fork of Quick EMUlator (QEMU) with improved support and modelling for the Xilinx platforms.

c qemu tcg

Last synced: 04 Aug 2024

https://github.com/Xilinx/mlir-aie

An MLIR-based toolchain for AMD AI Engine-enabled devices.

llvm mlir

Last synced: 31 Jul 2024

https://github.com/Xilinx/libsystemctlm-soc

SystemC/TLM-2.0 Co-simulation framework

co-simulation qemu systemc tlm2

Last synced: 30 Jul 2024

https://github.com/Xilinx/open-nic

AMD OpenNIC Project Overview

datacenter fpga network-acceleration networking smartnic

Last synced: 01 Aug 2024

https://github.com/Xilinx/XilinxVirtualCable

Xilinx Virtual Cable (XVC) is a TCP/IP-based protocol that acts like a JTAG cable and provides a means to access and debug your FPGA or SoC design without using a physical cable.

Last synced: 02 Aug 2024

https://github.com/Xilinx/DPU-PYNQ

DPU on PYNQ

pynq

Last synced: 31 Jul 2024

https://github.com/Xilinx/systemctlm-cosim-demo

QEMU libsystemctlm-soc co-simulation demos.

co-simulation qemu systemc tlm2

Last synced: 30 Jul 2024

https://github.com/Xilinx/nanotube

Last synced: 02 Aug 2024

https://github.com/Xilinx/kria-vitis-platforms

Kria KV260 Vitis platforms and overlays

Last synced: 31 Jul 2024

https://github.com/Xilinx/logicnets

Last synced: 01 Aug 2024

https://github.com/Xilinx/Vitis_Model_Composer

Vitis Model Composer Examples and Tutorials

acap aiengine fpga hls vitis

Last synced: 31 Jul 2024

https://github.com/Xilinx/hdmi-modules

Xilinx Soft-IP HDMI Rx/Tx core Linux drivers

Last synced: 02 Aug 2024

https://github.com/Xilinx/video-sdk

Last synced: 06 Aug 2024

https://github.com/Xilinx/RAFT

Rapid Abstraction FPGA Toolbox - Python toolbox which provides direct access to FPGA hardware peripherals

Last synced: 03 Aug 2024