Projects in Awesome Lists tagged with nextpnr
A curated list of projects in awesome lists tagged with nextpnr .
https://github.com/chipsalliance/fpga-tool-perf
FPGA tool performance profiling
arachne-pnr conda-environment f4pga fpga nextpnr perf performance-analysis symbiflow toolchain vpr yosys
Last synced: 06 Apr 2025
https://github.com/ghdl/docker
Scripts to build and use docker images including GHDL
actions ci dockerfiles ghdl gtkwave hardware icestorm nextpnr openocd pnr prjtrellis simulation synthesis testbench verilog vhdl vunit yosys
Last synced: 20 Dec 2024
https://github.com/charlottia/hdx
HDL development environment on Nix.
amaranth-hdl fpga hdl nextpnr nix yosys
Last synced: 03 Feb 2025
https://github.com/chili-chips-ba/openxc7-tetrisaraj
Demo of how to use https://github.com/openXC7 tools (yosys+nextpnr-xilinx) to implement the HW side of a custom SoC with RISC-V CPU & our special Video Controller in Basys3 Artix7-35T. Complemented with SW in the bare-metal 'C' they, together, make for this classic game. Except that it's now, in the standard BiH tradition, with a twist of our own.
basys3 fpga gamedev nextpnr open-source rtl soc tetris-game verilog-hdl xc7a35t xilinx yosys
Last synced: 31 Mar 2025
https://github.com/kittennbfive/5A-75B-tools
a collection of tools made while messing with the Colorlight 5A-75B V7.0 and some notes using ECP5 with Yosys
5a-75b chubby75 colorlight ecp5 fpga nextpnr nextpnr-ecp5 verilog yosys
Last synced: 05 May 2025
https://github.com/doctorwkt/ulx3s-blinky
A blinky project for the ULX3S v3.0.3 FPGA board
fpga nextpnr prjtrellis verilog yosys
Last synced: 18 Feb 2025
https://github.com/tvlad1234/violet
Virtual I/O for FPGAs
button colorlight ecp5 fpga fpga-board led nextpnr p5js uart verilog-hdl virtual-io-device webserial yosys
Last synced: 14 Mar 2025