Projects in Awesome Lists tagged with synthesis
A curated list of projects in awesome lists tagged with synthesis .
https://github.com/tonejs/tone.js
A Web Audio framework for making interactive music in the browser.
javascript music samples scheduling synthesis tone web-audio
Last synced: 12 May 2025
https://github.com/Tonejs/Tone.js
A Web Audio framework for making interactive music in the browser.
javascript music samples scheduling synthesis tone web-audio
Last synced: 14 Mar 2025
https://github.com/sonic-pi-net/sonic-pi
Code. Music. Live.
art audio education instrument live-coding livecoding music schools synthesis synthesizer
Last synced: 14 May 2025
https://github.com/supercollider/supercollider
An audio server, programming language, and IDE for sound synthesis and algorithmic composition.
algorithmic-composition audio c-plus-plus computer-music electronic-music livecoding music programming-language sclang scsynth sonification sound supercollider synthesis
Last synced: 12 May 2025
https://github.com/soul-lang/soul
The SOUL programming language and API
audio dsp soul soul-programming-language synthesis
Last synced: 16 May 2025
https://github.com/soul-lang/SOUL
The SOUL programming language and API
audio dsp soul soul-programming-language synthesis
Last synced: 16 Mar 2025
https://github.com/uwplse/herbie
Optimize floating-point expressions for accuracy
developer-tools floating-point herbie numerical-methods racket synthesis
Last synced: 09 Feb 2025
https://github.com/herbie-fp/herbie
Optimize floating-point expressions for accuracy
developer-tools floating-point herbie numerical-methods racket synthesis
Last synced: 05 Apr 2025
https://github.com/stargatedaw/stargate
Innovation-first digital audio workstation (DAW), instrument and effect plugins, wave editor
audio-dsp audio-effect audio-plugin c17 computer-music daw digital-audio-workstation drum-machine drums edm electronic-music music-creation pyqt pyqt6 sampler sequencer songs synth synthesis synthesizer
Last synced: 03 Apr 2025
https://github.com/olofk/edalize
An abstraction library for interfacing EDA tools
altera eda fossi fpga ghdl icarus-verilog icestorm lattice modelsim riviera-pro simulation spyglass synthesis systemverilog verilator verilog vhdl vivado xilinx yosys
Last synced: 14 May 2025
https://github.com/microsoft/prose
Microsoft Program Synthesis using Examples SDK is a framework of technologies for the automatic generation of programs from input-output examples. This repo includes samples and sample data for the Microsoft Program Synthesis using Example SDK.
csharp data-transformation data-wrangling dotnet examples microsoft program-synthesis prose sdk synthesis
Last synced: 13 May 2025
https://github.com/VLSI-EDA/PoC
IP Core Library - Published and maintained by the Chair for VLSI Design, Diagnostics and Architecture, Faculty of Computer Science, Technische Universität Dresden, Germany
altera asic fpga hardware-designs hardware-libraries hardware-modules lattice osvvm poc-library python regression-testing simulation synthesis testbenches uvvm verification vhdl vlsi vunit xilinx
Last synced: 22 Apr 2025
https://github.com/Gwion/Gwion
:musical_note: strongly-timed musical programming language
audio chuck compiler composition hacktoberfest interpreter lang language music programming-language real-time realtime-audio sound synth synthesis
Last synced: 12 Apr 2025
https://github.com/everythingwillbetakenaway/DX7-Supercollider
My accurate Yamaha DX-7 clone. Programmed in Supercollider.
audio audio-engine dsp instrument music sound sound-synthesis-processes supercollider synth synthesis synthesizer
Last synced: 15 Mar 2025
https://github.com/amsynth/amsynth
Simple software synthesizer for Linux
c-plus-plus dsp gtk linux lv2 synthesis synthesizer vst
Last synced: 15 May 2025
https://github.com/justinsalamon/scaper
A library for soundscape synthesis and augmentation
audio audio-processing data-augmentation machine-learning machine-listening soundscape soundscape-synthesis sox synthesis
Last synced: 04 Apr 2025
https://github.com/johnyf/tool_lists
Links to tools by subject
binary-decision-diagrams formal-methods model-checking proof-assistant satisfiability-modulo-theories satisfiability-solver smtlib static-analysis synthesis theorem-prover theorem-proving tools verification
Last synced: 21 Mar 2025
https://github.com/f4pga/f4pga-arch-defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
architecture-definitions artix artix7 documentation fpga hdl ice40 kintex7 lattice primitives python sphinx symbiflow synthesis toolchain verilog verilog-simulations verilog-simulator vpr xilinx-fpga
Last synced: 22 Apr 2025
https://github.com/namin/llm-verified-with-monte-carlo-tree-search
LLM verified with Monte Carlo Tree Search
ai coq dafny lean llm monte-carlo-tree-search synthesis verification
Last synced: 16 May 2025
https://github.com/dpretet/async_fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
asic asic-design async cdc cross-clock-domain fifo fifo-cache fifo-queue fpga hdl icarus-verilog synthesis verification verilator verilog verilog-hdl
Last synced: 22 Apr 2025
https://github.com/arirusso/unimidi
Realtime MIDI IO for Ruby
alsa alsa-rawmidi gem hardware jruby linux midi midi-controller midi-device midi-messages music osx ruby ruby-gem synthesis synthesizer
Last synced: 12 Apr 2025
https://github.com/schasins/helena
A Chrome extension for writing custom web scraping programs and web automation programs. Just demonstrate how to collect the first row of data, then let the extension write the program for collecting all rows.
chrome-extension javascript programming-by-example synthesis web-scraping
Last synced: 10 May 2025
https://github.com/bubobubobubobubo/sardine
Python's missing "algorave" module. Live code music with Python using MIDI, OSC and/or SuperCollider.
algorave algorithmic-music audio improvisation live-coding midi music musicology open-source osc patterns python sequencer synthesis toplap
Last synced: 16 May 2025
https://github.com/Bubobubobubobubo/sardine
Python's missing "algorave" module. Live code music with Python using MIDI, OSC and/or SuperCollider.
algorave algorithmic-music audio improvisation live-coding midi music musicology open-source osc patterns python sequencer synthesis toplap
Last synced: 22 Nov 2024
https://github.com/masc-ucsc/livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
asic fpga hdl lgraph live simulation synthesis
Last synced: 14 Mar 2025
https://github.com/zya/granular
HTML5 Granular Synthesiser
granular javascript music synthesis synthesizer webaudio webaudio-api
Last synced: 03 Jan 2025
https://github.com/roatienza/efficientspeech
PyTorch code implementation of EfficientSpeech - to be presented at ICASSP2023.
Last synced: 19 Dec 2024
https://github.com/erl-j/neural-instrument-cloning
In this project we combine techniques from neural voice cloning and musical instrument synthesis to achieve good results from as little as 16 seconds of target data.
ddsp flute instrument music saxophone synthesis
Last synced: 17 Mar 2025
https://github.com/akunull/piloslib
Multi-platform open-source set of audio and modulation tools that focus on synthesis, live electronic music, interconnection, probability, unique sounds, and intuitive interfacing built by Akunull in Pure Data starting in 2014
audio dsp music synth synthesis synthesis-library synthesizer
Last synced: 15 Mar 2025
https://github.com/RsynTeam/rsyn-x
Rsyn – An Extensible Physical Synthesis Framework
eda microelectronics synthesis
Last synced: 14 Mar 2025
https://github.com/tulip-control/tulip-control
Temporal Logic Planning toolbox
abstraction automata control-systems feedback-systems hybrid-systems python specification state-machine synthesis temporal-logic verification
Last synced: 12 Apr 2025
https://github.com/ardura/Actuate
Synthesizer, Sampler, Granulizer written in Rust with Nih-Plug and egui
granular-synthesis rust sampler synthesis synthesizer
Last synced: 06 Apr 2025
https://github.com/hazyresearch/reef
Automatically labeling training data
machine-learning stanford synthesis weakly-supervised-learning
Last synced: 17 Apr 2025
https://github.com/boschmitt/tweedledum
C++17 Library for analysis, compilation/synthesis, and optimization of quantum circuits
optimization quantum quantum-circuit quantum-compiler quantum-computing synthesis
Last synced: 06 Apr 2025
https://github.com/superzazu/denver.lua
a simple library to help you play custom waveforms with LÖVE
audio generation library love2d sound synthesis waveform
Last synced: 12 Apr 2025
https://github.com/jbakouny/scallina
A Coq-based synthesis of Scala programs which are correct-by-construction
coq formal-methods functional-programming scala synthesis
Last synced: 10 Feb 2025
https://github.com/paebbels/json-for-vhdl
A JSON library implemented in VHDL.
fileformat fpga ghdl json lattice modelsim parser questasim simulation synthesis vhdl xilinx
Last synced: 15 Apr 2025
https://github.com/clovaai/embedding-expansion
Official MXNet implementation of "Embedding Expansion: Augmentation in Embedding Space for Deep Metric Learning" (CVPR 2020)
cars196 cub200-2011 cvpr2020 deep-learning image-clustering image-retrieval metric-learning mxnet stanford-online-products synthesis
Last synced: 25 Jan 2025
https://github.com/clovaai/symmetrical-synthesis
Official Tensorflow implementation of "Symmetrical Synthesis for Deep Metric Learning" (AAAI 2020)
aaai2020 cars196 cub200-2011 deep-learning image-clustering image-retrieval metric-learning stanford-online-products synthesis tensorflow
Last synced: 25 Jan 2025
https://github.com/yuzukitsuru/lessampler
lessampler is a Singing Voice Synthesizer
dsp openutau singing-synthesis singing-voice svs synthesis synthesizer utau voice voice-synthesis
Last synced: 23 Nov 2024
https://github.com/notthetup/birds
Bird Sound Synthesis based on AM+FM
am-fm amplitude-modulation bird birdcall-synthesis fm frequency-modulation javascript sound synthesis webaudio
Last synced: 30 Apr 2025
https://github.com/saswatpadhi/loopinvgen
Generates loop invariants for program verification
cegis invariant-generation invariants loop-invariants program-verification sygus sygus-solver syntax-guided-synthesis synthesis
Last synced: 18 Dec 2024
https://github.com/austintheriot/resonix
Realtime, cross-platform audio processing & synthesis.
dsp granular-synthesis rust synthesis wasm
Last synced: 22 Mar 2025
https://github.com/uwplse/szalinski
Szalinski: A Tool for Synthesizing Structured CAD Models with Equality Saturation and Inverse Transformations
3d-printing cad compiler-optimization synthesis
Last synced: 20 Mar 2025
https://github.com/dskinner/snd
Package snd provides methods and types for sound processing and synthesis.
Last synced: 17 Mar 2025
https://github.com/tulip-control/omega
Specify and synthesize systems using symbolic algorithms
automata bitvector logic-minimization python rabin streett symbolic synthesis temporal-logic
Last synced: 15 Apr 2025
https://github.com/lsrcz/grisette
A monadic library for symbolic evaluation
haskell smt symbolic-evaluation symbolic-execution synthesis verification
Last synced: 17 Mar 2025
https://github.com/sgherbst/svreal
Synthesizable real number library in SystemVerilog, supporting both fixed- and floating-point formats
fixed-point floating-point icarus icarus-verilog irun iverilog ncsim simulation synthesis synthesizable systemverilog vcs verilator verilog vivado xcelium xrun
Last synced: 11 May 2025
https://github.com/ghdl/docker
Scripts to build and use docker images including GHDL
actions ci dockerfiles ghdl gtkwave hardware icestorm nextpnr openocd pnr prjtrellis simulation synthesis testbench verilog vhdl vunit yosys
Last synced: 20 Dec 2024
https://github.com/sgherbst/msdsl
Automatic generation of real number models from analog circuits
ams analog analog-circuits generator mixed-signal model python real-number-modeling rnm simulation synthesis synthesizable
Last synced: 11 May 2025
https://github.com/paebbels/picoblaze-library
The PicoBlaze-Library offers several PicoBlaze devices and code routines to extend a common PicoBlaze environment to a little System on a Chip (SoC or SoFPGA).
assembler fpga hardware hardware-architectures hardware-designs hardware-libraries hdl picoblaze-devices picoblaze-library poc-library simulation soc synthesis verilog vhdl
Last synced: 15 Apr 2025
https://github.com/syngenta/linchemin
Linked Chemical Information. LinChemIn is a Python toolkit that leverages the native connectivity of Chemical Reaction Data and provides actionable insights. The toolkit provides a program interface to several Computer-Aided Synthesis Prediction tools, allowing users to devise and calculate metrics on predicted Chemical Synthetic Routes.
casp chemoinformatics python synthesis
Last synced: 14 Apr 2025
https://github.com/vlazzarini/musicv
Music V sources and documents
audio computer-music music synthesis
Last synced: 26 Mar 2025
https://github.com/jeffdecola/my-verilog-examples
A place to keep my synthesizable verilog examples.
asic asic-design fpga gtkwave hardware hardware-architecture hardware-description-language hdl iverilog simulator synthesis synthesize systemverilog verilog verilog-simulator vivado waveform xilinx
Last synced: 30 Mar 2025
https://github.com/cawfree/react-native-pure-data
🔈 ⚡ Synthesize algorithmic sound at runtime in React Native.
generator pure-data react-native sound synthesis synthesizer
Last synced: 06 Apr 2025
https://github.com/alirezakay/regextodfa
converting regex (regular expression) to DFA directly by creating syntax tree in java
automata compiler compilers deterministic dfa directly finite-state-machine in-java java lexical-analysis netbeans regex regex-to-dfa regex-to-dfa-java regular-expression regular-expressions straightly syntax-analysis syntax-tree synthesis
Last synced: 16 Mar 2025
https://github.com/meteorwebcomponents/synthesis
:fire: Synthesis is Meteor + Polymer
meteor meteor-polymer mwc polymer polymer-settings synthesis
Last synced: 18 Jan 2025
https://github.com/lolleko/mesh-data-synthesizer
Uses Unreal Engine & Cesium to generate large synthetic dataset from 3D meshes. Enables machine learning tasks like Visual Place Recognition read more in our paper on this: https://meshvpr.github.io
cesium data geospatial machine-learning mesh place-recognition synthesis synthesizer ue5 unreal-engine
Last synced: 28 Apr 2025
https://github.com/munich-quantum-toolkit/syrec
SyReC Synthesizer - A Tool for HDL-based Synthesis of Reversible Circuits
cpp17 python quantum-computing reversible-computation synthesis
Last synced: 16 May 2025
https://github.com/cda-tum/mqt-syrec
SyReC Synthesizer - A Tool for HDL-based Synthesis of Reversible Circuits
cpp17 python quantum-computing reversible-computation synthesis
Last synced: 09 Apr 2025
https://github.com/madskjeldgaard/guttersynth-sc
Chaotic physical modelling in SuperCollider
chaos physicalmodelling supercollider synthesis ugens
Last synced: 07 May 2025
https://github.com/vmagnin/forsynth
A Fortran synthesizer library to explore sound synthesis, sound effects, acoustics, electronic music, algorithmic music, etc. Humbly follow the steps of Stockhausen, Kraftwerk and the Daft Punk!
fortran fortran-package-manager music sound synthesis synthesizer
Last synced: 30 Mar 2025
https://github.com/yuzukitsuru/world.js
World.JS is a JavaScript Wrapper for World Vocoder Powered by Emscripten
audio-processing d4c dsp emscripten f0-estimation javascript javascript-library morise speech synthesis vocoder world wrapper
Last synced: 23 Nov 2024
https://github.com/yuzukitsuru/udb
✨ UTAU DEBUG ENGINE | UTAU错误检查引擎
engine hacktoberfest singing-synthesis singing-voice synthesis synthesiser utau utauengine utauplugin
Last synced: 23 Nov 2024
https://github.com/sjlongland/atinysynth
ADSR embedded polyphonic synthesizer for microcontrollers
adsr attiny85 attiny861 microcontroller music synthesis synthesizer
Last synced: 12 Apr 2025
https://github.com/rekalantar/patchbased_3dcyclegan_ct_synthesis
Patch-based 3D Cycle-GAN for volumetric medical image synthesis
cyclegan-tensorflow deeplearning gan generative-adversarial-network generative-model medical-imaging synthesis tensorflow-tutorials
Last synced: 09 Feb 2025
https://github.com/bubobubobubobubo/topos
Web Based Live Coding Environment. Inspired by the Monome Teletype.
algorithmic-composition computer controller livecoding midi monome music sequencer synthesis teletype toplap webaudio
Last synced: 13 May 2025
https://github.com/abdelazeem201/design-and-asic-implementation-of-32-point-fft-processor
I present a novel pipelined fast Fourier transform (FFT) architecture which is capable of producing the output sequence in normal order. A single-path delay commutator processing element (SDC PE) has been proposed for the first time. It saves a complex adder compared with the typical radix-2 butterfly unit. The new pipelined architecture can be built using the proposed processing element. The proposed architecture can lead to 100% hardware utilization and 50% reduction in the overall number of adders required in the conventional pipelined FFT designs. In order to produce the output sequence in normal order, we also present a bit reverser, which can achieve a 50% reduction in memory usage.
asic asic-design asic-verification fft fpga rtl soc synthesis verilog vhdl
Last synced: 08 Apr 2025
https://github.com/jamesseanwright/nanotunes
A small music format and an accompanying implementation using OscillatorNode
music oscillatornode sequencing synthesis web-audio
Last synced: 12 Apr 2025
https://github.com/jarmitage/resonators
Resonant filter bank synthesis on Bela based on [resonators~] Max/Pd object
audio bela belaplatform cpp filters maxmsp puredata resonators synthesis
Last synced: 19 Mar 2025
https://github.com/eriknyquist/tones
Pure-python library for generating audio tones, with support for polyphony, pitch-bending and vibrato
audio audio-synthesis beep beeps digital-signal-processing music music-composition pure-python synthesis tones
Last synced: 01 May 2025
https://github.com/jonas-hack/granular-synthesis-for-engine-audio
Dynamic Vehicle Sounds in Unity
audio racing-game synthesis unity3d
Last synced: 11 Mar 2025
https://github.com/aruntk/kickstart-meteor-polymer
:zap: Kickstart a Meteor - Polymer project with MWC packages. (Flow Router is used to route)
bower-components meteor meteor-polymer mwc polymer synthesis
Last synced: 13 May 2025
https://github.com/bberak/node-sfx
A library for composing and synthesising sounds for NodeJS programs 🔊🎉
music nodejs sound sound-effects synthesis synthesizer
Last synced: 12 Apr 2025
https://github.com/addisonelliott/scic
Project of Addison Elliott and Dan Ashbaugh to create IC layout of 32-bit custom CPU used in teaching digital design at SIUE.
asic cadence cpu digital gate icarus-verilog rtl simulation synthesis tcl verilog
Last synced: 28 Mar 2025
https://github.com/lmmentel/batchcalculator
Batch Calculator for Zeolite synthesis
batch-calculation chemistry database gui python synthesis
Last synced: 12 May 2025
https://github.com/bnediction/bonesis
Synthesis and Reprogramming of Most Permissive Boolean Networks
answer-set-programming boolean-networks synthesis systems-biology
Last synced: 20 Nov 2024
https://github.com/paulbatchelor/vocshape
A simple android app demonstrating the shaping capabilities of articulatory synthesis
android articulatory dsp nanovg ndk synthesis
Last synced: 12 Apr 2025
https://github.com/ben-marshall/microcoder
Define custom assembly-like instructions and use them to write programs which are transpiled into synthesisable Verilog code.
assembly microcode microcontroller synthesis verilog
Last synced: 22 Apr 2025
https://github.com/namin/relaxed-machines
program synthesis with neuro-symbolic differentiable interpreters
differentiable-programming interpreters jax neuro-symbolic paper-implementations synthesis
Last synced: 10 Feb 2025
https://github.com/gkatsikas/snf
SNF: synthesizing high performance NFV service chains
click dpdk fastclick high-performance networking nfv single-read single-write snf synthesis zero-redundancy
Last synced: 28 Dec 2024
https://github.com/ericpesto/ai-sample-generator
Create .wav audio samples with text-to-sound generative AI
ai cli cli-app electronic-music generative generative-ai macos music music-composition music-generation prompt-engineering python sample-generation samples sound-synthesis synthesis text-to-sound wav windows
Last synced: 22 Nov 2024
https://github.com/linuxmao-org/mx44
Repository to revive the good old Mx44 synth
audio audio-applications fm-synthesizer gtk2 jack-audio-connection-kit jackaudio linuxaudio linuxmao midi music musical-instrument musique real-time-audio synth synthesis synthesizer
Last synced: 13 May 2025
https://github.com/paebbels/pyipcmi
A Python-based IP Core Management Infrastructure.
altera database infrastructure intel ip-core modelsim python3 quartus-prime questasim riviera-pro simulation synthesis vhdl vhdl-verification xilinx xilinx-vivado
Last synced: 15 Apr 2025
https://github.com/freealise/vocalise
Compose song lyrics, poetry or rap and improve your English - understand the grammar of phrases and sentences you read and hear, type or speak, and remember new words from the rhyming dictionary.
alliteration cmudict composing consonants dictionary editor formant grammar language lyrics phoneme pronounciation rhyme singing songwriting speech synthesis vocal voice vowels
Last synced: 15 Apr 2025
https://github.com/igorbezsmertnyi/speech
speech recognition and speech synthesis
recognition speech speech-js speech-recognition speech-recognition-js speech-synthesis speech-synthesis-js speech-to-text synthesis text-to-speech voice
Last synced: 23 Mar 2025
https://github.com/noahlemen/mdlr
🎛 component library for building synthesizer systems
audio react synthesis synthesizer web-audio
Last synced: 07 May 2025
https://github.com/alt-romes/slfl
The SILI synthesiser - synthesis of linear functional programs
Last synced: 11 Feb 2025
https://github.com/mian-ali/text-to-speak-src
speakapp speechapp synthesis text-to-speech
Last synced: 18 Feb 2025
https://github.com/aruntk/kickstart-meteor-polymer-with-auth
:zap: kickstart a meteor polymer project using mwc projects
meteor meteor-polymer polymer synthesis webcomponents
Last synced: 13 May 2025