Ecosyste.ms: Awesome

An open API service indexing awesome lists of open source software.

Awesome Lists | Featured Topics | Projects

Projects in Awesome Lists tagged with chisel

A curated list of projects in awesome lists tagged with chisel .

https://github.com/openxiangshan/xiangshan

Open-source high-performance RISC-V processor

chisel microarchitecture risc-v

Last synced: 30 Sep 2024

https://github.com/OpenXiangShan/XiangShan

Open-source high-performance RISC-V processor

chisel microarchitecture risc-v

Last synced: 30 Jul 2024

https://github.com/chipsalliance/chisel

Chisel: A Modern Hardware Design Language

chip-generator chisel chisel3 firrtl rtl scala verilog

Last synced: 30 Sep 2024

https://github.com/riscv-boom/riscv-boom

SonicBOOM: The Berkeley Out-of-Order Machine

berkeley boom chisel riscv riscv-boom rocket-chip rtl scala

Last synced: 01 Oct 2024

https://github.com/ucb-bar/chipyard

An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more

accelerators boom chip-generator chipyard chisel firesim hwacha out-of-order peripherals risc-v riscv rocket rocket-chip rtl soc superscalar

Last synced: 26 Sep 2024

https://github.com/ucb-bar/riscv-mini

Simple RISC-V 3-stage Pipeline in Chisel

chisel riscv rtl

Last synced: 31 Jul 2024

https://github.com/RadicalCSG/Chisel.Prototype

Work in progress prototype for the Chisel Level Editor, for Unity

bsp chisel constructive-solid-geometry csg level-design level-editor leveldesign mapping prototype realtime unity

Last synced: 02 Aug 2024

https://github.com/ucb-bar/chiseltest

The batteries-included testing and formal verification library for Chisel-based RTL designs.

chisel formal testing verification

Last synced: 02 Aug 2024

https://github.com/bu-icsg/dana

Dynamically Allocated Neural Network Accelerator for the RISC-V Rocket Microprocessor in Chisel

chisel hardware neural-network riscv rocc rocket-chip rtl

Last synced: 03 Aug 2024

https://github.com/ucb-bar/constellation

A Chisel RTL generator for network-on-chip interconnects

chisel hardware interconnect network-on-chip noc rtl soc

Last synced: 02 Aug 2024

https://github.com/ucsc-vama/essent

high-performance RTL simulator

chisel firrtl rtl scala

Last synced: 30 Jul 2024

https://github.com/freechipsproject/diagrammer

Provides dot visualizations of chisel/firrtl circuits

chisel chisel3 firrtl visualization

Last synced: 02 Aug 2024

https://github.com/ovh/sv2chisel

(System)Verilog to Chisel translator

chisel eda transpiler verilog

Last synced: 02 Aug 2024

https://github.com/sifive/chisel-circt

Library to compile Chisel circuits using LLVM/MLIR (CIRCT)

chisel circt mlir scala

Last synced: 02 Aug 2024

https://github.com/rhysd/riscv32-cpu-chisel

Learning how to make RISC-V 32bit CPU with Chisel

chisel chisel3 cpu risc-v

Last synced: 01 Oct 2024

https://github.com/microdynamics-cpu/tree-core-cpu

:deciduous_tree: A series of RISC-V soft core processor written from scratch. Now, we're using all open-source toolchain (chisel, mill, verilator, NEMU, AM and difftest framework, etc) to design and verify.

chisel cpu processor riscv rt-thread rtl scala softcore verilator

Last synced: 08 Aug 2024

https://github.com/thoughtworks/hardposit-chisel3

Chisel library for Unum Type-III Posit Arithmetic

chisel chisel3 firrtl floating-point fpu posit rtl scala unum verilog

Last synced: 02 Aug 2024

https://github.com/IBM/perfect-chisel

Chisel artifacts developed under IBM's involvement with the DARPA PERFECT program

chisel hdl rtl

Last synced: 02 Aug 2024

https://github.com/wangrunji0408/rjrouter

[AFK] Hardware router in Chisel (THU Network Joint Lab 2020)

chisel router

Last synced: 02 Aug 2024

https://github.com/ltfschoen/mudtemplate

Build Ethereum DApps with MUD v2 in a Docker container

anvil cast chisel docker ethereum ethglobal23 forge foundry lattice mud vitejs

Last synced: 01 Oct 2024

https://github.com/kivikakk/chryse

Project framework for Chisel

chisel fpga hdl scala

Last synced: 30 Sep 2024