Ecosyste.ms: Awesome
An open API service indexing awesome lists of open source software.
Simulation
Simulation refers to the process of creating a virtual model of a real-world system to study its behavior and performance under various conditions. This topic covers the principles, methodologies, and applications of simulation in fields such as engineering, science, healthcare, and social sciences. Simulations can range from simple models to complex, interactive environments, allowing researchers and practitioners to test hypotheses, train individuals, and predict outcomes without the risks or costs associated with real-world experiments. The topic also explores different types of simulation software and tools, as well as best practices for designing and validating simulations.
- GitHub: https://github.com/topics/simulation
- Wikipedia: https://en.wikipedia.org/wiki/Simulation
- Created by: The scientific and engineering community
- Related Topics: modeling, virtual-reality, computer-science, data-science,
- Aliases: simulations,
- Last updated: 2025-02-13 00:27:41 UTC
- JSON Representation
https://github.com/shijbey/neighborly
A narrative-focused agent-based settlement simulation framework.
agent-based-simulation emergent-behavior games procedural-generation python settlement-simulation simulation social-simulation
Last synced: 14 Nov 2024
https://github.com/oracle/rwloadsim
RWP*Load Simulator - your tool for scripting, simulation and much more. Like having a bit of bash and SQL, a nip of C or Java, a dash of awk, a grain of sed plus drops of secret sauce in one single tool. See https://blogs.oracle.com/database/rwploadsim-oracle-db-performance-simluator for the announcement on the Oracle database blog.
bash-script benchmark-framework database gnuplot-graphs performance performance-testing plsql simulation sql workload
Last synced: 24 Jan 2025
https://github.com/pedestriandynamics/jupedsim
JuPedSim is an open source pedestrian dynamics simulator
c-plus-plus cpp pedestrian-dynamics simulation
Last synced: 12 Feb 2025
https://github.com/abdur-rahmaanj/hooman
~ pygame for humans (pip install hooman) (p5js api) (63k+ downloads)
clock game hacktoberfest pygame python simulation ui wrapper
Last synced: 07 Feb 2025
https://github.com/astroshaper/asteroidthermophysicalmodels.jl
Julia-based dynamical simulator for asteroids and planets.
asteroid asteroids astrodynamics comet comets julia julia-language julialang planet planets simulation solar-system space-science thermophysics
Last synced: 20 Dec 2024
https://github.com/armavica/rebop
Fast stochastic simulator for chemical reaction networks
gillespie monte-carlo science scientific-computing simulation systems-biology
Last synced: 11 Feb 2025
https://github.com/brian-team/brian2genn
Brian 2 frontend to the GeNN simulator
brian genn genn-simulator gpu-computing python simulation spiking-neural-networks
Last synced: 10 Feb 2025
https://github.com/matijakevic/mcircuit
A digital logic simulator inspired by Logisim.
circuit circuit-simulation circuit-simulator digital-logic digital-logic-simulator llvm llvmlite logisim networkx pyside pyside6 python python3 qt qt6 simulation simulator
Last synced: 12 Oct 2024
https://github.com/ContextLab/computational-neuroscience
Short undergraduate course taught at University of Pennsylvania on computational and theoretical neuroscience. Provides an introduction to programming in MATLAB, single-neuron models, ion channel models, basic neural networks, and neural decoding.
computational-neuroscience course-materials data-analysis matlab modeling neuron problem-set simulation
Last synced: 26 Nov 2024
https://github.com/aspuru-guzik-group/qtorch
qTorch (Quantum Tensor Contraction Handler) https://arxiv.org/abs/1709.03636 -> for quantum simulation using tensor networks
linegraph maxcut networks qaoa qtorch quantum quickbb simulation tensor
Last synced: 24 Nov 2024
https://github.com/bgin/radar_electrooptical_simulation
(REOS) Radar and ElectroOptical Simulation Framework written in Fortran.
amdgpu avx avx-512 avx2 c99 control-systems cuda-kernels fortran90 gpu-acceleration high-performance-computing infrared-sensors modeling openmp radar radiative-transfer simd simulation vectorization
Last synced: 12 Oct 2024
https://github.com/plusk01/nonlinearquad
A collection of Jupyter/IPython notebooks that implement quadrotor control schemes in an expository manner
control-theory ipython-notebook nonlinear quadrotor simulation sliding-mode-control
Last synced: 13 Feb 2025
https://github.com/llnl/qball
Qball (also known as qb@ll) is a first-principles molecular dynamics code that is used to compute the electronic structure of atoms, molecules, solids, and liquids within the Density Functional Theory (DFT) formalism. It is a fork of the Qbox code by Francois Gygi.
c-plus-plus cpp dft molecular-dynamics mpi qbox simulation tddft
Last synced: 11 Nov 2024
https://github.com/contextlab/computational-neuroscience
Short undergraduate course taught at University of Pennsylvania on computational and theoretical neuroscience. Provides an introduction to programming in MATLAB, single-neuron models, ion channel models, basic neural networks, and neural decoding.
computational-neuroscience course-materials data-analysis matlab modeling neuron problem-set simulation
Last synced: 06 Nov 2024
https://github.com/gregzanch/cram
cram is a computational room acoustics module to simulate and explore various acoustic properties of a modeled space
acoustics computational-acoustics cram fdtd image-source-model ray-tracing raytracer room-acoustics room-impulse-response simulation webapp
Last synced: 20 Dec 2024
https://github.com/gmagno/spyci
A tiny Python package to parse spice raw data files.
electronics ngspice python raw simulation spice
Last synced: 05 Jan 2025
https://github.com/c2r0b/vnmsim
Von Neumann Machine Simulator
education react simulation simulator tauri von-neumann
Last synced: 27 Oct 2024
https://github.com/fsciortino/aurora
Modern toolbox for impurity transport, neutrals and radiation modeling in magnetically-confined plasmas
aurora fusion impurity-transport julia magnetic modeling neutrals radiation simulation stellarator tokamak
Last synced: 09 Feb 2025
https://github.com/yikaiw/EIP
[ACMMM 2021, Oral] Code release for "Elastic Tactile Simulation Towards Tactile-Visual Perception"
Last synced: 03 Nov 2024
https://github.com/flaport/sax
S + Autograd + XLA :: S-parameter based frequency domain circuit simulations and optimizations using JAX.
ann autograd circuit deep-learning jax optimization photonic-circuit photonic-optimization photonics physics-simulation s-parameters simulation simulation-framework simulations xla
Last synced: 06 Jan 2025
https://github.com/umitkaanusta/jomini
Historical battle simulation package for Python
attrition battle combat historical lanchester military military-history model modeling modelling operations-research simulation strategy strategy-game strategy-games tactics war war-games wargaming
Last synced: 22 Oct 2024
https://github.com/base4security/t3sf
Technical Tabletop Exercises Simulation Framework
discord discord-bot framework orchestration python python-3 python3 simulation simulation-framework slack slack-bot telegram telegram-bot training ttx whatsapp whatsapp-bot
Last synced: 12 Feb 2025
https://github.com/real-stanford/umpnet
[RA-L / ICRA 2022] UMPNet: Universal Manipulation Policy Network for Articulated Objects
computer-vision robotics simulation
Last synced: 17 Nov 2024
https://github.com/cunarist/solie
GUI trading bot designed for targeting the futures markets of Binance
backtesting binance binance-bot binance-futures binance-trading-bot bitcoin crypto-bot cryptocurrency cryptocurrency-trading-bot ethereum futuresmarkets gui python simulation strategy technical-analysis trading-bot
Last synced: 12 Feb 2025
https://github.com/mkhan45/simple-gravity
Gravity simulator using Newton's Law of Universal Gravitation, made to help teach physics
ggez gravity-sim physics rust simulation specs
Last synced: 27 Oct 2024
https://github.com/sgherbst/svreal
Synthesizable real number library in SystemVerilog, supporting both fixed- and floating-point formats
fixed-point floating-point icarus icarus-verilog irun iverilog ncsim simulation synthesis synthesizable systemverilog vcs verilator verilog vivado xcelium xrun
Last synced: 17 Nov 2024
https://github.com/khesualdo/elevator-scheduling-simulator
:hotel: :office: :department_store: :school: A simulation environment, which mimics the scheduling of multiple elevators within a building of any size. The multithreaded approach helps to simulate elevators running concurrently. The simulation also makes use of several group scheduling algorithms, which help to distribute the workload between elevator-cars as well as make the elevators adaptable to various situations.
algorithm algorithms elevator elevator-simulation floor group-scheduling-algorithms java multiple-elevators object-oriented-programming scheduling scheduling-algorithms simulate-elevators simulation thread
Last synced: 05 Nov 2024
https://github.com/defparam/higan-verilog
This is a higan/Verilator co-simulation example/framework
emulation emulator fpga simulation snes snes-programming verilog verilog-hdl
Last synced: 24 Nov 2024
https://github.com/neka-nat/gazebo_domain_randomization
Domain randomization for gazebo simulator
domain-randomization gazebo gazebo-plugin machine-learning reinforcement-learning ros simulation
Last synced: 28 Oct 2024
https://github.com/ansys/pymechanical
Pythonic interface to Ansys Mechanical ™
ansys ansys-mechanical mechanical python simulation
Last synced: 10 Feb 2025
https://github.com/llnl/griddyn
GridDyn is an open-source power transmission simulation software package
Last synced: 11 Nov 2024
https://github.com/johnsoong216/PokerOddsCalc
A simple tool to calculate poker hand odds (Omaha/Hold'em)
poker poker-evaluator poker-hands simulation statistics
Last synced: 30 Oct 2024
https://github.com/mittyrobotics/tko-electronics-sim
A cross-platform app that allows for building and simulating FRC electronics in real time
electronics frc frc-java hacktoberfest hardware java simulation simulator
Last synced: 09 Feb 2025
https://github.com/sta-ger/pokie
A server-side video slot game logic framework in JavaScript.
casino game game-server javascript nodejs return-to-player rtp simulation slot typescript video-slot
Last synced: 21 Dec 2024
https://github.com/PlasmaPy/SpectroscoPyx
A community developed python package for spectroscopy.
analysis cython database diagnostics plasma-physics python science simulation spectroscopy
Last synced: 17 Nov 2024
https://github.com/ecojulia/ecosistem.jl
Julia package for ecosystem simulation
biodiversity ecology ecosystem-simulation epidemiology julia simulation
Last synced: 18 Nov 2024
https://github.com/khaledsharif/quantopian-ensemble-methods
Assisting repository for the published paper investigating ensemble methods in algorithmic trading.
classification-methods day-trading machine-learning quantopian simulation trading-algorithms
Last synced: 23 Nov 2024
https://github.com/EcoJulia/EcoSISTEM.jl
Julia package for ecosystem simulation
biodiversity ecology ecosystem-simulation epidemiology julia simulation
Last synced: 14 Nov 2024
https://github.com/OpenWaterAnalytics/EPyT
EPyT: An EPANET-Python Toolkit for Smart Water Network Simulations
epanet epanet-matlab-toolkit epanet-python-toolkit network python simulation water
Last synced: 27 Nov 2024
https://github.com/gliderkite/formicarium
Ant colony simulator
ants-simulator artificial-intelligence rust simulation swarm-intelligence zero-player-game
Last synced: 29 Oct 2024
https://github.com/PrincetonUniversity/muchisim
Simulator framework for analysis of performance, energy consumption, area and cost of multi-node multi-chiplet tile-based manycore designs
cost-model data-centric data-local energy-model execution manycore multi-chip multi-chiplet network performance-modeling-and-analysis pgas simulation simulator
Last synced: 17 Nov 2024
https://github.com/chrischrislolo/tamagotchiclone
A tamagotchi clone, made with JavaScript and the Phaser game library.
game pet phaser phaserjs simulation simulator tamagotchi tamagotchi-game
Last synced: 10 Feb 2025
https://github.com/ardupilot/ardupilot_gz
Tools for ArduPilot ROS2 integration and testing on ROS 2 humble
gazebo gazebo-simulator ros2 ros2-humble simulation
Last synced: 10 Feb 2025
https://github.com/nikorasu/pynboids
This is a Boids Simulation, written in Python with Pygame.
birds boid boids boids-algorithm boids-simulation emergent-behavior flocking flocking-algorithm flocking-simulation murmuration numpy pygame pygame2 python python3 schooling screensaver simulation spatial-partitioning swarm-intelligence
Last synced: 07 Nov 2024
https://github.com/ghdl/docker
Scripts to build and use docker images including GHDL
actions ci dockerfiles ghdl gtkwave hardware icestorm nextpnr openocd pnr prjtrellis simulation synthesis testbench verilog vhdl vunit yosys
Last synced: 20 Dec 2024
https://github.com/mretegan/crispy
Core-Level Spectroscopy Simulations in Python
gui python science simulation spectroscopy synchrotron
Last synced: 12 Feb 2025
https://interactivecomputergraphics.github.io/physics-simulation/
Introduction to state-of-the-art simulation methods for rigid bodies, deformable solids and fluids in the area of visual computing
deformable-solids fluids physics physics-simulation rigid-bodies simulation
Last synced: 11 Nov 2024
https://github.com/ss3sim/ss3sim
An R package for stock-assessment simulation with Stock Synthesis
fisheries r r-package simulation stock-synthesis
Last synced: 09 Feb 2025
https://github.com/dos-group/vessim
A co-simulation testbed for carbon-aware applications and systems 🍃
carbon-aware co-simulation energy-system simulation software-in-the-loop testbed
Last synced: 14 Nov 2024
https://github.com/HKUST-OCTAD-LAB/AirTrafficSim
Web-based air traffic simulation and visualization platform for ATM research. Development fork: https://github.com/kyfrankie/AirTrafficSim
air-transport atm aviation simulation utm
Last synced: 21 Dec 2024
https://github.com/SolarTherm/SolarTherm
Solar thermal power/fuel station performance simulation and optimisation using Modelica. Read a paper about our project: https://is.gd/solth
energy engineering modelica modelica-library optimisation simulation solar thermodynamics
Last synced: 14 Nov 2024
https://github.com/tmcclintock/frispy
Frisbee flight simulator written in Python.
frisbee frisbee-flight-simulator physics physics-simulation python simulation
Last synced: 10 Jan 2025
https://github.com/mathworks/simscape-hybrid-electric-vehicle-model
A Power-Split Hybrid Electric Vehicle (HEV) model in Simscape
automotive electric-vehicles hybrid-vehicles matlab simscape simulation simulink stateflow
Last synced: 09 Nov 2024
https://github.com/eliabntt/GRADE-RR
GRADE: Generating Animated Dynamic Environments for Robotics Research
animals data-generation dataset dynamic-environments dynamic-humans framework generator humans isaac isaac-sim isaacsim omniverse realistic research robotics ros simulation simulator
Last synced: 16 Nov 2024
https://github.com/epranka/descent-app
Top of descent path calculator. Let's calculate your descent!
aircraft aviation calculator create-react-app css descent javascript open-source react simulation top-of-descent util
Last synced: 25 Nov 2024
https://github.com/PauloCarvalhoRJ/gammaray
GammaRay: a graphical interface to GSLib and other geomodeling algorithms. *NEW* in May, 6th: Drift analysis.
cart classification contact-analysis discrete-wavelet-transformation earthsciences emd estimation fft gabor geostatistics gslib kriging markov-chain-monte-carlo markov-random-field random-forest regression simulation stratigraphic-grid variogram vertical-proportion-curve
Last synced: 06 Nov 2024
https://github.com/sizespectrum/mizer
Multi-species size-based ecological modelling in R
ecosystem-model fish-population-dynamics fisheries fisheries-management marine-ecosystem population-dynamics r simulation size-structure species-interactions transport-equation
Last synced: 08 Feb 2025
https://github.com/costrouc/pysrim
Automation, Analysis, and Plotting of SRIM Calculations
ion-cascades numpy simulation srim
Last synced: 28 Oct 2024
https://github.com/llnl/mgmol
MGmol is a scalable O(N) First-Principles Molecular Dynamics code that is capable of performing large-scale electronics structure calculations and molecular dynamics simulations of atomistic systems.
cpp molecular-dynamics simulation
Last synced: 11 Nov 2024
https://github.com/llnl/zero-rk
Zero-order Reaction Kinetics (Zero-RK) is a software package that simulates chemically reacting systems in a computationally efficient manner.
application chemistry cpp simulation
Last synced: 11 Nov 2024
https://github.com/esimov/cloth-physics
Desktop application for cloth physics simulation using Gio GUI.
cloth cloth-simulation desktop-app gio go golang math physics simulation verlet
Last synced: 28 Oct 2024
https://github.com/gaynorr/alphasimr
R package for breeding program simulations
breeding cran genomics r r-package simulation
Last synced: 03 Nov 2024
https://github.com/sgherbst/msdsl
Automatic generation of real number models from analog circuits
ams analog analog-circuits generator mixed-signal model python real-number-modeling rnm simulation synthesis synthesizable
Last synced: 17 Nov 2024
https://github.com/llnl/uedge
2D fluid simulation of plasma and neutrals in magnetic fusion devices
Last synced: 10 Jan 2025
https://github.com/precise-simulation/mesh-viewer
Python STL/OBJ CAD mesh viewers comparing Matplotlib/Plotly/Vispy backends and Tkinter GUI
cad cef gui matplotlib mesh mvc obj plotly python simulation stl tkinter tkinter-gui viewer vispy webgl
Last synced: 30 Nov 2024
https://github.com/artfulbytes/bots2d
Bots2D is a C++ framework for simulating robotics in 2D that provides boilerplate code and robotics assets to help you quickly get started.
2d-simulation box2d framework linefollower opengl robotframework robotics robotics-competition robotics-simulation simulation simulation-library sumobot sumobots
Last synced: 09 Feb 2025
https://github.com/sintef-9012/sindit
Sintef Digital Twin
dashboard-application digital-twin digital-twins knowledge-graph manufacturing simulation
Last synced: 10 Nov 2024
https://github.com/bones-ai/rust-ai-wars
Neuro evolution simulation where AI creatures fight against each other
ai bevy neural-network reinforcement-learning rustlang simulation
Last synced: 12 Oct 2024
https://github.com/rawify/stewart.js
Inverse kinematics for Stewart Platforms written in JavaScript
animation javascript quaternion simulation stewart-platform
Last synced: 24 Jan 2025
https://github.com/dra1ex/js_particlesystem
Gravity Simulation (Galaxy Birth) in real time, N-Body and 1-Body
canvas galaxy-birth galaxy-evolution gravity-simulation javascript n-body physics physics-simulation simulation webgl
Last synced: 13 Nov 2024
https://github.com/kovart/forta-attack-simulation
🦠🔬 Forta bot that detects deployment of smart contracts containing an exploit function
agent attack blockchain bot ethereum exploit forta prevention simulation
Last synced: 16 Nov 2024
https://github.com/insigneo/openbf
1D blood flow simulator
1d-model cardiovascular finite-volume julia-language simulation
Last synced: 19 Dec 2024
https://github.com/spsanderson/tidydensity
Create tidy probability/density tibbles and plots of randomly generated and empirical data.
bootstrap density distributions ggplot2 probability r r-language r-package r-stats simulation statistics tibble tidy
Last synced: 10 Feb 2025
https://github.com/trixi-framework/trixiparticles.jl
TrixiParticles.jl: Particle-based multiphysics simulations in Julia
julia multiphysics simulation smoothed-particle-hydrodynamics
Last synced: 19 Nov 2024
https://github.com/ecsim/gopem
GUI for OPEM library
chemistry electrochemistry fuel-cell matplotlib opem physics physics-simulation pyqt5 python qt5 simulation
Last synced: 07 Feb 2025
https://github.com/paebbels/picoblaze-library
The PicoBlaze-Library offers several PicoBlaze devices and code routines to extend a common PicoBlaze environment to a little System on a Chip (SoC or SoFPGA).
assembler fpga hardware hardware-architectures hardware-designs hardware-libraries hdl picoblaze-devices picoblaze-library poc-library simulation soc synthesis verilog vhdl
Last synced: 08 Nov 2024
https://github.com/glotzerlab/hoomd-examples
HOOMD-blue example scripts.
hard-particle hoomd-blue jupyter molecular-dynamics monte-carlo-simulation particle-system python simulation tutorial
Last synced: 20 Nov 2024
https://github.com/mitrefireline/simfire
An open-source wildfire simulator written in Python and meant to be used to train reinforcement learning (RL) agents.
python reinforcement-learning reinforcement-learning-environments simulation simulator wildfire
Last synced: 09 Feb 2025
https://github.com/ferencberes/LNTrafficSimulator
A Cryptoeconomic Traffic Analysis of Bitcoin's Lightning Network
bitcoin cryptoeconomics lightning-network research simulation simulator
Last synced: 05 Nov 2024
https://github.com/spsanderson/TidyDensity
Create tidy probability/density tibbles and plots of randomly generated and empirical data.
bootstrap density distributions ggplot2 probability r r-language r-package r-stats simulation statistics tibble tidy
Last synced: 04 Dec 2024
https://github.com/mcellteam/mcell
MCell Monte Carlo Simulator of Cellular Microphysiology
Last synced: 07 Nov 2024
https://github.com/bones-ai/rust-flappy-bird-ai
AI learns to play flappy bird using neuro-evolution, implemented in Rust using macroquad
ai flappy-bird flappy-bird-ai genetic-algorithm macroquad neat neural-networks neuroevolution rust rust-lang simulation
Last synced: 15 Nov 2024
https://github.com/ECSIM/gopem
GUI for OPEM library
chemistry electrochemistry fuel-cell matplotlib opem physics physics-simulation pyqt5 python qt5 simulation
Last synced: 14 Nov 2024
https://github.com/zyth0s/SciAlgs.jl
Fundamental scientific algorithms in Julia
astronomy chemistry crystallography electronic-structure julia physics quantum-chemistry scientific-computing simulation
Last synced: 20 Nov 2024
https://github.com/sintefneodroid/droid
Package for rapid prototyping of reinforcement learning environments 🚀
agent blazing deep-learning droid fast hacktoberfest learning-agents machine-learning ml motor neo neodroid neural-network prototyping reinforcement-learning rl segment-images simulation unity
Last synced: 07 Nov 2024
https://github.com/ad-si/Brillo
Painless 2D vector graphics, animations, and simulations powered by GLFW (Fork of gloss)
2d animation game-engine glfw graphics raster render simulation vector
Last synced: 14 Dec 2024
https://github.com/vikashplus/furniture_sim
MuJoCo simulation for common furnitures
mujoco robosapien robot simulation
Last synced: 09 Nov 2024
https://github.com/KarrLab/de_sim
Python-based object-oriented discrete-event simulation tool for complex, data-driven modeling
computational-modelling data-driven-model discrete-event-simulation object-oriented-programming python simulation
Last synced: 09 Nov 2024
https://github.com/anuraghazra/slime
Slime made with repel behaviors and low stiffness constraints.
simulation slime verlet-physics verly verlyjs
Last synced: 27 Oct 2024
https://github.com/psyteachr/stat-models-v1
Learning Statistical Models Through Simulation in R (version 1, 2021)
Last synced: 10 Feb 2025
https://github.com/juliaastrosim/astronbodysim.jl
Unitful and differentiable gravitational N-body simulation code in Julia
astronomy astrophysics autodiff gpu-computing julia nbody-gravity-simulation simulation unitful
Last synced: 03 Dec 2024
https://github.com/brainelectronics/Micropython-ESP-WiFi-Manager
MicroPython based ESP WiFi Manager
esp32 esp8266 flask library micropython simulation unit-testing wifi wifimanager
Last synced: 18 Nov 2024
https://github.com/mkhan45/simple-mechanics
An educational physics sim for high school physics, part of the SIMple Physics project
educational physics rust simulation
Last synced: 28 Oct 2024
https://github.com/mayankm96/airsim_ros_client
ROS Wrapper in Python for Microsoft AirSim
artificial-intelligence microsoft robotics ros simulation
Last synced: 12 Nov 2024
https://github.com/palmerabollo/rvo2-js
Reciprocal Collision Avoidance for Real-Time Multi-Agent Simulation (port to Javascript). This is an alpha release of a RVO2 port from the C# version to Javascript, only for research purposes.
collision-avoidance javascript multi-agent-simulation simulation
Last synced: 26 Nov 2024
https://github.com/stefanmeili/fastfd
A library for building finite difference simulations
cupy electromagnetic-simulation engineering-design engineering-tools finite-difference finite-difference-simulations fluid-dynamics heat-transfer mechanical-engineering partial-differential-equations pde pde-solver physics-simulation process-simulation python scipy simulation
Last synced: 07 Nov 2024
https://github.com/soumyasen1809/cfd_books_codes
CFD codes written based on examples in various books like Patnakar, Versteeg etc
cfd computational-fluid-dynamics explicit-schemes finite-volume fluid-dynamics fvm implicit simulation tdma
Last synced: 10 Nov 2024
https://github.com/brainelectronics/micropython-esp-wifi-manager
MicroPython based ESP WiFi Manager
esp32 esp8266 flask library micropython simulation unit-testing wifi wifimanager
Last synced: 12 Oct 2024
https://github.com/nishkarshraj/computer-graphics
Computer Graphics Algorithms in C++ and OpenGL basics.
graphics graphics-programming opengl scanlinefill simulation transformations
Last synced: 10 Nov 2024
https://github.com/rust-dd/stochastic-rs
stochastic-rs is a Rust library designed for high-performance simulation and analysis of stochastic processes and models in quant finance.
ai finance malliavin-calculus quant quantitative-finance rust simulation statistics stochastic stochastic-processes
Last synced: 02 Jan 2025